Viterbi verilogtrabajos

Filtro

Mis búsquedas recientes
Filtrar por:
Presupuesto
a
a
a
Tipo
Habilidades
Idiomas
    Estado del trabajo
    2,000 viterbi verilog trabajados encontrados, precios en USD

    Verilog Simulation and Testbench Modification Project I am looking for a freelancer who can assist me with a Verilog simulation project. Specifically, I need someone who can modify an existing Verilog code to create a basic level testbench. I have two codes: Clock divider, 7segemnt, and I need to apply them Required Skills and Experience: - Strong proficiency in Verilog programming language - Experience with Verilog simulation and testbench design - Familiarity with ModelSim tool or equivalent - Ability to communicate effectively and work collaboratively If you have the necessary skills and experience, please apply for this project.

    $51 (Avg Bid)
    $51 Oferta promedio
    3 ofertas
    Two players pong game Finalizado left

    Simulation and implementation of two players pong game under some constraints in Verilog.

    $150 (Avg Bid)
    $150 Oferta promedio
    5 ofertas
    System Verilog VHDL Finalizado left

    Implementation of a Moore finite state machine with 2 - 4 D-FlipFlops simulating a control system. Design.v and testbench.v needed.

    $128 (Avg Bid)
    $128 Oferta promedio
    21 ofertas

    I will implement it in one week

    $61 (Avg Bid)
    $61 Oferta promedio
    1 ofertas
    Feedback Power on Finalizado left

    Hello, I need code that turns on an 80% duty cycle when the feedback voltage drops below 1.5 V. I also need the voltage to be displayed on a LCD display. I need it coded in verilog to work with a DE-10 lite board.

    $169 (Avg Bid)
    $169 Oferta promedio
    12 ofertas

    Project for a simple security system design in System Verilog code, design and testbench.

    $167 (Avg Bid)
    $167 Oferta promedio
    22 ofertas

    I am looking for someone to develop a project that will allow data to be transmitted from my Field Programmable Gate Array (FPGA) to a PC. The connection type that should be used is USB and the language used to communicate must be Verilog. Data that needs to be transmitted is text only. I need a detailed solution that can handle transmission of data in a smooth, consistent manner. It should be able to identify events and their associated data while being reliable and efficient. The hardware and software involved should be thoroughly tested and debugged. The solution should also be documented and include any necessary reports/specifications. The project should be delivered in a timely fashion.

    $52 / hr (Avg Bid)
    $52 / hr Oferta promedio
    6 ofertas

    I am looking for a freelancer to design a single clock process based on RISC-V ISA using Verilog. The clock process design must have the following specific features and functionalities: The project only requires the implementation of the base RISC-V ISA, without any specific extensions. The ideal freelancer must be skilled and experienced in Verilog and have a deep understanding of RISC-V ISA. Additionally, I would prefer someone who has previously worked on similar projects and can provide examples of their work.

    $11 (Avg Bid)
    $11 Oferta promedio
    2 ofertas

    I am looking for a freelancer who can help me find a behavioral module that incorporates all of the methods used to implement true addition and true subtraction with a test bench module. The ideal candidate should have experience in Verilog and be able to work on a project with some design preferences. The test bench module should have a basic level of complexity.

    $11 (Avg Bid)
    $11 Oferta promedio
    15 ofertas

    I am looking for a freelancer to design a gas detector circuit using Verilog for the Basys 3 board. The detector should be able to sense Carbon Monoxide gas. I have a rough idea of what I want. The buzzer alarm does not have any specific requirements, but it should be loud enough to be heard. The ideal skills and experience for this job include proficiency in Verilog, knowledge of gas detection circuit design, and experience with the Basys 3 board.

    $46 (Avg Bid)
    $46 Oferta promedio
    6 ofertas

    I need to control the buck converter using a current mode control in digital form. That means i need to use digital PI, ADC converter, Digital PWM. For these digutal controlling parts I have to write verilog codes or have to use IP's in vivado to implement on FPGA. At the end I need to do PCB design for the buck converter and after that I have to combine them and observe the results on oscilloscope.

    $88 (Avg Bid)
    $88 Oferta promedio
    6 ofertas

    Hello! I am in need of a freelancer to help me with a project creating a car elevator controller. The controller will be created using Vivad Verilog code and fpga implementation. I am looking for someone who can provide a detailed project proposal in their application. It is also important they have past work and experience in the same field. I won’t need any type of remote access for this project so please do not include any advice on that as part of your proposal. If you believe you are suited for this project and would be interested in working with me, please apply and include your detailed project proposal. I look forward to hearing from you!

    $176 (Avg Bid)
    $176 Oferta promedio
    10 ofertas

    I am looking for help with creating a System Verilog code for a sequential multiplier and a floating point multiplier. For the multiplier, I would need both types: sequential and floating point. The verification of the functionality is required. I am necessary looking for an experienced engineer who truly understands what's needed for this requirement and can efficiently and quickly develop the code for it.

    $176 (Avg Bid)
    $176 Oferta promedio
    15 ofertas
    Elevator verilog Finalizado left

    As part of a development project, I need help designing verilog code on Xilinx. I'm looking for experienced freelancers with the technical skills to properly implement the design. I need complete control when it comes to providing feedback and making sure the progress is on track. The right candidate should have a solid track record and demonstrate their expertise in the same field before applying to the job.

    $149 (Avg Bid)
    $149 Oferta promedio
    6 ofertas

    I'm looking for a VHDL 1st-in 1st-out (FIFO) project to be completed. I need a Verilog code to complete the FIFO example. Also, syntax is very important, therefore, I am attaching an example (LIFO) to illustrate the syntax.

    $22 (Avg Bid)
    $22 Oferta promedio
    4 ofertas
    Data Science Finalizado left

    Implementing PageRank, Gaussian Mixture Models, Boxes and Balls Model, Backward Algorithm, Viterbi algorithm, Theory in Hidden Markov Model.

    $140 (Avg Bid)
    $140 Oferta promedio
    23 ofertas
    Verilog Code Finalizado left

    write a verilog code for a straight line equation y=mx+c where all m,x and c are 32 bit and even after arithmetic operations between m,x,cand y the final values should always be truncated to 32 bit(for example m*x gives a 64 bit value which has to be truncated to 32 bit after the multiplication) . The final value should be in 4.28 format [i.e.,4 for integer part and 28 for decimal part(fractional part)] . In the integer part one bit will be for sign and there are left with 3 more bits which can have a maximum value till 7, and the decimal part consists of 28 bits ,so the value will be + or - 7.9 for 4.28 m and x should take decimal values

    $29 (Avg Bid)
    $29 Oferta promedio
    8 ofertas

    BCD multiplier development using Verilog HDL for Xilinx FPGA technology Input/Output Format: - Desired input/output format is Binary Testbench: - Testbench required for the Verilog code Ideal Skills and Experience: - Proficiency in Verilog HDL - Experience in BCD multiplier development - Expertise in Xilinx FPGA technology - Familiarity with Binary input/output format - Ability to create a testbench for Verilog code Goals: - Develop a functional BCD multiplier using Verilog HDL - Ensure the Verilog code passes the testbench - Optimize the design for Xilinx FPGA technology.

    $96 (Avg Bid)
    $96 Oferta promedio
    22 ofertas

    Design a push-button door lock that uses a standard tele-phone keypad as input.

    $10 / hr (Avg Bid)
    $10 / hr Oferta promedio
    11 ofertas

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    $35833 (Avg Bid)
    $35833 Oferta promedio
    6 ofertas

    I need a full verliog code that will output a "32-bit microprocessor using an FPGA board" 1. High level text description to describe HOW you are implementing your project. 2. DETAILED Block Diagram(s) showing design and detailed interconnections. 3. List of tasks completed 4. List of things I need to simulate, debug, and demonstrate 5. Data sheets for each IC used in your design. 6. Worst Case analysis - show tables / spread sheets in progress in process for Noise margin, Loading, Timing 7. I WILL NEED A VIDEO EXPLAINING HOW THE CODE WORKS (IN ENGLISH) 8. ALSO PICTURES OF THE CODE RUNNING SMOOTHLY NO PLAGIARISM PLEASE PLEASE COME UP WITH YOUR OWN CODE

    $32 (Avg Bid)
    $32 Oferta promedio
    4 ofertas

    We are Hiring Technical expert (Xilinx Vivado) Position: Academic Technical expert Freelancer Experience: 2+ years Qualification: Masters or Doctorate in Electronics & Communication Engineering Skills Required: Turbo Decoder VLSI Xilinx Vivado FPGA Verilog Machine learning Specific area: Need a Verilog, Xilinx Vivado and Machine learning expert Time: Part-time/Freelance Job Description: Require a Freelancer, who can do coding will be done on Xilinx Vivado. Implementation will be done on FPGA using Verilog/ system Verilog language

    $315 (Avg Bid)
    $315 Oferta promedio
    14 ofertas
    SytemVerilog Finalizado left

    System verilog information provided in the doc file

    $122 (Avg Bid)
    $122 Oferta promedio
    16 ofertas

    Europe, Italy timezone preferred. Lead the activity for porting FPGA design to Silicon technology (memory replacement, ...) Carry on simulations of the updated RTL design to check that the functionality remains unchanged Execute static and formal verification of RTL code using appropriate tools Run trial synthesis on the RTL design and check the timing violations Lead the act...tools Run trial synthesis on the RTL design and check the timing violations Lead the activities for SoC sub-block Static Timing Analysis. Required Skills (expert): VHDL language Digital ASIC design flow Use of digital simulations with standard industry simulators (Mentor QuestaCore) Static and formal RTL verification (e.g. Synopsys Spyglass) Synthesis tools (e.g. Cadence Genus) UVM and System Verilog test...

    $20 - $40 / hr
    Sellado Acuerdo de Confidencialidad
    $20 - $40 / hr
    8 ofertas

    Hi, can you help me out to code Verilog coding for the sound detection sensor for turning on the LED on DE1 SoC Cyclone V board?

    $25 (Avg Bid)
    $25 Oferta promedio
    18 ofertas
    Project for Ahmed K. Finalizado left

    Hi Ahmed K., I noticed your profile and would like to ask for help with debugging a verilog project. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Oferta promedio
    1 ofertas

    Hi Sardar Hasnain A., I noticed your profile and would like to ask for help debugging a verilog project on vivado. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Oferta promedio
    1 ofertas

    Turbo codes are error correction codes that are widely used in communication codes exhibits high error correction capability as compared with other error correction codes. This paper proposes a Very Large Scale Integration (VLSI) architecture for the implementation of Turbo decoder. Soft-in-soft out decoders, interleavers and deinterleavers is used in the decoder side which employs Maximum-a-Posteriori (MAP) algorithm. The number of iterations required to decode the information bits being transmitted is reduced by the use of MAP algorithm. For the encoder part, this paper uses a system which contains two Recursive convolutional encoders along with pseudorandom interleaver in encoder Turbo encoding and decoding is done using Octave, Xilinx Vivado, Cadence system is implemented and synthe...

    $106 (Avg Bid)
    $106 Oferta promedio
    4 ofertas

    I want someone who understands FPGA, Vivado, Verilog, VHDL etc for a report

    $73 (Avg Bid)
    $73 Oferta promedio
    6 ofertas

    Hi, How are you doing. I am looking for electrical engineers to work on multiple tasks in following areas: • Embedded C Programming. • VHDL/Verilog, LABView/ Multisim/PSPICE • Network Simulator NS2/NS3 • Microcontroller like Arduino, Raspberry Pi, FPGA, AVR, PIC and STM32. • IDEs like Keil MDK V5, ATmel studio and MPLab XC8. • PLCs / SCADA • PCB Designing-Proteus, Eagle. • IOT Technologies like Ethernet, GSM GPRS. • HTTP Restful APIs connection for IOT Communications. I am looking for long term work relationship. New freelancers are warmly welcomed. Important Note: I need dedicated freelancers who strictly follow the deadline and give me good quality work without any plagiarism.

    $149 (Avg Bid)
    $149 Oferta promedio
    42 ofertas

    Hi Moaz Khaled Feriz K., I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $54 (Avg Bid)
    $54 Oferta promedio
    1 ofertas

    ...generator, where scanline parameters are passed as input wires : scanline color, scanline thickness, scanline interval 5. output is overlayed by the bitmap OSD with the same resolution as output format Requirements : aside from the DDR memory interface, or PLL no vendor or encrypted IP blocks can be used, for example no Altera/Intel video pipeline. Everything must be in written verilog source code. Must include verilog testbench that will accept input picture(in any format) and produce resulting picture(in any format). suggested pipeline i/o ports: Sysclk, [23:0] RGBin, HSin,VSin,DEin, Clkin [23:0] RGBout, HSout, VSout, DEout, Clkout [31:0] parameters[0:...] (whatever count is required). all needed memory interfaces signals to the memory multiplexer. Any dev board an...

    $2000 (Avg Bid)
    Acuerdo de Confidencialidad
    $2000 Oferta promedio
    1 ofertas

    ...create a verilog code for image dehazing. The image is converted into a text file using Matlab(all pixel values are converted into corresponding hexadecimal values). This text file is given as the input to the verilog program. First we need to find the minimum of RGB value of each pixel and create a matrix. Then we need to consider a small window/mask in the new matrix and find the minimum of that mask and sweep the mask through the entire new matrix to create the darkchannel image. Then by using the equations using the darkchannel prior algorithm we need to recreate a haze free image. (the output of the verilog code will be a text file and is recreated into an image using Matlab. I am attaching a reference paper. I just need to get the basic dehazing part fro...

    $106 (Avg Bid)
    $106 Oferta promedio
    6 ofertas
    line following bot Finalizado left

    I need code for my bot who follow the given line using line sensor. this code should be written in verilog language and fpga cyclone 4 is used as board.

    $14 (Avg Bid)
    $14 Oferta promedio
    4 ofertas

    dark channel prior basically computes the minimum of rgb values present in a single pixel and assigns that value to the pixels. Once that is done, a patch of pixels is taken and the minimum is taken after which all the pixels in that patch are assigned the new minimum value. The input is a hex file of coloumn form and output is another hex file

    $88 (Avg Bid)
    $88 Oferta promedio
    4 ofertas

    I am a verification engineer in Bangalore India, and preparing for Top Semiconductor Companies Interview Process like INTEL, NVIDIA , GOOGLE , Qualcomm, NXP Semiconductors , SAMSUNG and many more etc. So I am looking for a verification expert ...showcase me your skills . So that after gaining knowledge with your help I can crack any company interviews . I want all types of problem solving questions to be covered including puzzles as well . Kindly ping me here if you help me out with above . Kindly provide all types of possible questions which a company can ask in a interview , I need a kind of Question Bank. Mandatory Skills : Verilog , System Verilog, UVM , Functional Coverage , Code Coverage , Assertions , Constraints , Digital Electronics and FSM problem Solving questi...

    $2427 (Avg Bid)
    $2427 Oferta promedio
    1 ofertas

    Two tasks based on verilog, serial adder and RTL for APB based protocol. More information will be shared later. Deadline - 2days[maximum] Price - 75AUD

    $79 (Avg Bid)
    $79 Oferta promedio
    2 ofertas

    Im working on a Simon Says project that needs to be implemented on a Xillinx FPGA. It alsof includes memory, FSM

    $39 (Avg Bid)
    $39 Oferta promedio
    10 ofertas

    Im working on a Simon Says project that needs to be implemented on a Xillinx FPGA. It alsof includes memory, FSM

    $37 (Avg Bid)
    $37 Oferta promedio
    3 ofertas

    1) Frequency divider by - / 2n - / by any integer 2) Serial Peripheral Interface (SPI) - Both master and slave 3) UART TX/RX - Asynchronous serial communication - Start bit, Stop bit, over sampling etc. - Exercise on cross-clock domain synchronizer What to submit - RTL code (.v) with inline comment - Test bench (.v) with inline comment - Timing diagram (gtkwave) with annotation - Rough description of the corresponding circuit Quick turnaround needed

    $34 (Avg Bid)
    $34 Oferta promedio
    6 ofertas

    Need a System Verilog Expert for digital logic circuit design

    $16 (Avg Bid)
    $16 Oferta promedio
    8 ofertas
    Verilog programming Finalizado left

    Multicycle Processor Controller

    $555 (Avg Bid)
    $555 Oferta promedio
    20 ofertas
    Verilog Coding Finalizado left

    We need to program a FPGA board using verilog code with XNOR, Multiply, shiftleft and add modules that can run on the board using different switches. When we compile the code there are no errors but when we try to put the code onto the board it is showing only zeros so we think that there is something wrong with the XNOR, Mul, shiftleft or ADD modules. I will attach all codes and the manual for the project below, thanks.

    $49 (Avg Bid)
    $49 Oferta promedio
    11 ofertas

    Hi Moaz Khaled Feriz K., I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $55 (Avg Bid)
    $55 Oferta promedio
    1 ofertas

    The entire description of the project is in the file below Circuit modeling in

    $188 (Avg Bid)
    $188 Oferta promedio
    6 ofertas
    $156 Oferta promedio
    4 ofertas

    Hi Mohammed Ibrahim, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Oferta promedio
    1 ofertas

    Hi Mohammed Ibrahim, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $50 (Avg Bid)
    $50 Oferta promedio
    1 ofertas

    I need to design gradient descent optimizer on FPGA in verilog language and code should be synthesizable. entire design should be pipelined. Input and outputs should be in single precision floating point representation. loss or cost function is mean square error loss for 2D variables, minimise the above cost functions to achieve the optimised value. I have developed gradient descent optImizer on python , below attached file is code of it. I want same implementation in verilog

    $143 (Avg Bid)
    $143 Oferta promedio
    6 ofertas
    Verilog Coding Finalizado left

    My project includes working on a verilog code for a stair case encoder. Below is the image of the architecture of the encoder and for each seperate block, i need codes for it. A full description of the project will be given to you in the form of a research paper. If you know how to write codes in verilog, kindly contact me. We can discuss more about the project as I have already done a small part of it and need help for the rest of the blocks. Price is negotiable. Thank you.

    $99 (Avg Bid)
    $99 Oferta promedio
    3 ofertas